Skip to content Skip to navigation

Fab Project Courses: E241 & EE412

E241 is a project course focused on developing processes for the SNF nanolabs, a shared facility that supports flexible lithography, heterogeneous integration, and rapid micro prototyping. Team projects are approved by the instructor and are mentored by an ExFab staff member. EE412 is a project-based course focused on the development or characterization of processing methods used for the fabrication of devices in the Stanford Nanofabrication Facility (SNF). The SNF is a shared-use cleanroom providing micro- and nano- fabrication equipment and processing know-how for a diverse range of research areas. In this course, students can choose among several available projects or propose their own, with the objective of gaining experience in the practical aspects of process development and experimental design while contributing to SNF's library of processing methods.


In the last iteration of the E241, we added what we are calling "Nuggets"- useful bits of information about the process in general that should be extremely helpful either in getting started or avoiding pitfalls along the way. Check them out!

Project Name Areas of Interest Primary Lab Tools Used Researchers and (Mentors) Date
Rapid fabrication of curved metasurfaces through wafer grinding Wafer Grinding, PDMS processing, Creating PDMS molds Robert Lupoiu, Chenkai Mao, Yixuan Shao, (Swaroop Kommera), (Lavendra Mandyam), (J Provine) 06/2022
Nano Features Construction on PDMS Microfluidic Devices - Towards a Micro-Fuel Cell Fuel Cells, Nanoscribe 3D Printing, PDMS Processing Christian Barresi, Yuzhe Li, (Swaroop Kommera), (Tony Ricco) 06/2022
Plasma activated direct bonding of silicon and lithium niobate thin films bonding of pieces, metasurfaces, wave guides Sahil Dagli, Baba Ogunlade (Usha Raghuram), (Swaroop Kommera), (Vijay Narasimhan) 06/2021
Sub-micron metal patterning on polymer substrates using nitride nanostencil Nano stencil, polymer and metal integration Anqi Ji, Skyler Selvin, (Graham Ewing), (Swaroop Kommera), (Tony Ricco), (Mark Zdeblick) 06/2021
Two-photon laser writing of micro-optics Micro lenses Ching-Ting Tsai, Yi-Shiou Du, (Swaroop Kommera), (Vijay Narasimhan), (J Provine) 06/2021
Released Lithium Niobate Structures on Silicon using the PT-DSE MEMS, structure release Oliver Hitchcock, Takuma Makihara, (Usha Raghuram), (Tony Ricco), (Mark Zdeblick) 06/2021
Cuprite (Cu2O) Rydberg Excitons for Integration with Photonics photonics Yakub Grzesik, Hope Lee, (Maurice Stevens), (Swaroop Kommera), (Don Gardner) 06/2021
Graphene Growth on Ge(110) Substrates graphene, germanium Michelle Chen, Risa Hocking, (Swaroop Kommera), (Hye Ryoung Lee), (Michelle Rincon) 06/2021
A photodefinable thermally-responsive hydrogel bilayer with SU-8 hydrogels, SU-8 material properties Paul Chong, Grace Woods, (Swaroop Kommera), (Tony Ricco), (Mark Zdeblick) 06/2021
3D, pourous, electroplated metallic structures using two-photon lithography templates 3D patterning and electroplating Quianying Wu, Alisha Piazza, (Swaroop Kommera), (Tony Ricco) 03/2020
Superconducting Parallel Plate Capacitors with High Kinetic Inductance Superconductors, Capacitors Kevin Multani, Debadri Das, Hubert Stokowski, (Usha Raghuram), (Swaroop Kommera), (Don Gardner) 03/2020
Mechanical deformation of self-assembled inverse structures 3D templates for nanostructures, sol-gel processing David Doan, John Kulikowski, (Swaroop Kommera) 03/2020
Low temperature ALD-Grown Superconducting Tunnel Contacts Low temperature ALD Molly Anderson, Ilan Rosen (Michelle Rincon), (J Provine), (Vijay Narasimhan) 03/2020
SiNWs Thermoelectric Device Process Development SiNW formation, MACE Etching Rui Ning, Yue Jiang, Jihyun Baek, (Usha Raghuram), (Mark Zdeblick), (Don Gardner), (Daihong Huh) 03/2020
ALD Process for Top-Gating 2D Materials 2D Material integration, ALD, metal seed layers Akash Levy, Jung-Soo Kim, (Michelle Rincon), (J Provine), (Vijay Narasimhan) 03/2020
Bioprinting on 3D nanostructures with the Alveole PRIMO Creating nanostructured templates for subsequent cell growth Ching-Ting Tsai, Chih-Hao Lu, (Swaroop Kommera), (Zeinab Jahed), (Xiao Li), (Gaspard Pardon) 03/2020
Fabrication of an Etched Silicon Microdissection Platform with Applications in Organotypic Culture and Personalized Immunotherapy Testing Silicon patterning for tissue cutting Nicolas Castaño, Seth Cordts, Saisneha Koppaka (Usha Raghuram), (Tony Ricco), (Mark Zdeblick) 03/2020
Etching Block Copolymer Directed Self-Assembly Holes Directed Self Assembly, Nanoscale patterning Maryanne Tung, Hansen Qiao, (Michelle Rincon), (Archana Kumar), (Jim Kruger) 03/2019
P-GaN/AlGAN/GaN E-mode HEMT MOCVD, HEMT Seungbin Jeong, Anand Lalwani, (Xiaoqing Xu), (Caitlin Chapin), (Don Gardner), (Dong Lee) 03/2019
Protein Photopatterning on PDMS in 3D with the Alveole PRIMO Protein patterning Chinmay Devmalya, Frank Charbonier, (Swaroop Kommera) 03/2019
Roughness characterization of waveguides fabricated using the Heidelberg MLA150 Maskless Aligner Optical waveguides, Maskless lithography Payton Broaddeus, Aditi Datta, (Swaroop Kommera), (J Provine) 03/2019
Non-destructive Micro to Nanoscale Metal Patterning for Probing Thermal Anisotropy Stencil lithography Chris Perez, Heungdong Kwon, (Usha Raguram), (J Provine) 03/2019
Characterization of TMDs and Contact schemes for Photovoltaic Applications TMD, photovoltaics Arvindh Kumar, Koosha Nassiri Nazif, (Michelle Rincon) 03/2019
2D Materials Transfer in an Inert Atmosphere Transfer station operations, 2D material damage evaluation Victoria Chen, Connor Bailey, (Michelle Rincon) 06/2018
InGaN-GaN Multiple Quantum Wells for Green LEDs on Si Green LED Ben Reeves, Ze Zhang, (Xiaoqing Xu) 06/2018
Au-Sn Eutectic chip-bonding for high heat flux vapor chamber applications Eutectic Bonding Shougata Hazra, Yashvi Singh, (Usha Raghuram) 06/2018
Grayscale lithography for chiral nanophotonic structures Grayscale lithography process development Jefferson Dixon, Michelle Solomon, (Swaroop Kommera) 06/2018
Low Temperature Bonding for Neural Implant Fabrication Bonding of 3D structures to chips Pingyu Wang, Timothy Goh, (Usha Raghuram) 06/2018
Dry Etching of InSb Using OX-35 Etcher Process development of InSb etch Settasit Chaikasetsin, Matthew Gutwald, Kate Lee, (Usha Raghuram), (Jim McVittie) 06/2018
Low-Cost and Robust Printing of Resistance Thermometer Sensors using the Voltera Printing of commercial conductive inks Ruiqi Chen, Alex Gruebele, Cheng Liu, (Swaroop Kommera) 06/2018
MOCVD Regrown Ohmic Contacts to AlGaN/GaN Heterostructures MOCVD regrowth, patterned in cleanroom and then return to MOCVD tools Savannah Bennbrook, Yanni Dahmani, (Xiaoqing Xu) 06/2018
Grayscale Lithography and Resist Reflow for Parylene Patterning Grayscale lithography modeling, Parylene liftoff process development Charmaine Chia, Joel Martis, (Swaroop Kommera) 06/2018
Etch Rates of (Metal Oxide) ALD Films ALD film etch rates in wet chemicals Martin Winterkorn, Karen Kim, (Michelle Rincon), (J Provine) 06/2018
Two-photon lithography for dielectric structures and electroplating molds for retinal prostheses 3D structures on the nanoscale Tiffany Huang, Charles Chen, Jack Andraka, David Heydari, (Swaroop Kommera) 06/2018
Enabling Pattern Transfer for Block Copolymer Directed Self-Assembly Nanoscale patterning, DSA Maryanne Tung, (Michelle Rincon) 06/2018
Capacitive Deionization (CDI) Cell Fabrication with Voltera V-One Paste Dispenser conductive ink making and patterning Diego Huyke, Diego Oyarzun, (Swaroop Kommera) 06/2018
Wafer-Wafer Bonding Using Silicides for High-Temperature Applications Silicide Bonding Kirby Boone, Eric Wu, (Mahnaz Mansourpour), (Usha Raghuram), (J Provine) 06/2018
Physical and Electrical Characterization of RF-Sputtered ITO Films for Use as Solar Cell Electrodes as well as Interlayers in Low-Resistance MIS Contacts in Ge/Si Transistors Sputtering ITO for solar cell electrodes Koosha Nazif, Haydee Pacheco, (Maurice Stevens) 12/2017
MOCVD of InAlN/GaN on Si Heterostructures for High-Temperature High-Electron-Mobility Transistors (HEMT) HEMTS, InAlN/GaN on Si Thomas Heuser, Ricardo Peterson, (Xiaoqing Xu) 12/2017
Direct Patterning of Proteins with the Alveole PRIMO Protein patterning Erica Castillo, Joy Franco (Swaroop Kommera) 12/2017
Preparation of ultra-smooth platinum films Ultra-smooth metal films Charmaine Chia, (Michelle Rincon) 12/2017
Standard Process for Prototyping Flexible Devices Flexible device prototyping, photo-patternable polyimide, photo definable polyimide Xiuian Chen, Nigel Clarke, Tae Myung Huh, (Hye Ryong Lee), (Swaroop Kommera) 12/2017
Standard Operating Procedure of Optomec Aerosol Jet Printer in Pneumatic Atomizer Mode and Characterization of Printed PEDOT:PSS Lines Ink jet printing of nano-particles, PEDOT Kye Young Lee, Camila Cendra, Theo Gao, (Swaroop Kommera), (Hye Ryong Lee) 12/2017
Epitaxy of GaAs on transferred CVD graphene GaAs, graphene John Roberts, Tim Chen, (Xiaoqing Xu) 12/2017
XFab Micromachining: Uses & Limitations Micromaching applications Abdul Obaid, Minna Hanna, (Michelle Rincon), (Elmer Enriquez) 12/2017
Si and Glass Flip Chip Bonding with Solder Paste and Laser Cut Tape Stencils Flip Chip Bonding Karen Dowling, Mimi Yang, (J Provine), (Usha Raghuram), (Astrid Tomada) 12/2016
Sapphire flip-­chip thermocompression and eutectic bonding for dielectric laser accelerator Flip Chip Bonding Huiyang Deng, Yu Miao, (Usha Raghuram) 12/2016
Controlled metal Deposition on Flexible Polyethylene Substrates by Sputtering for CO2 Reduction Sputtering on flexible materials Jun Li, (Xioaqing Xu), (HyeRyoung Lee) 12/2016
Development and characterization of wax molds for 3D microfluidic applications Microfluidics, 3D Printing Rex Garland, Jonah Kohen, Fengjiao Lyu, (Michelle Rincon) 12/2016
Optimizing the Electrical Stability of Platinum Films Deposited in Lesker - Sputter Sputtering Kirsten Kaplan, Karen Kim, Martin Winterkorn, (J Provine), (Siva Baskharan) 12/2016
Development of thermocompression and eutectic bond processes for pre-patterned substrates using the Finetech Lambda Flip Chip Bonding KiWook Jung, Heungdong Kwon, (Usha Raghuram) 12/2016
Ink preparation and inkjet printing of eutectic gallium indium nanodroplets Nanoparticle inkjet printing Eric Wu, (HyeRyoung Lee), (Xiaoqing Xu) 12/2016
Aligned SWCNT Growth in SNF using FirsNano CNT Furnace Aligned, horizontal, SWCNT growth on quartz using ethanol with a quartz substrate Greg Pitner, (Michelle Rincon), (Robert Chen) 12/2015
Three-dimensional Current Collector for Advanced Microbatteries Using multiple coat lithography process to pattern electrodes for electroplating Dingchang Lin, Yayuan Liu, Jia Zhao, (Robert Chen) , (Michelle Rincon) 12/2015
Optimization of Silicon Isotropic Plasma Etch in PT-DSE for GOPHER Process Optimizing Isotropic etching in the PT-DSE Andrew Ceballos, (Usha Raghuram) 12/2015
Atomic Layer Deposition of Aluminum Doped Zinc Oxide Creating Al doped ZnO on the Savannah ALD Jiheng Zhao, Sidi Huang, Sangwook Park, (Michelle Rincon) 12/2015
GaAs Rapid Melt Growth (RMG) process Developing a GaAs Rapid Melt Growth (RMG) process to produce crystalline GaAs on silicon Xue Bai, (Xiaoxing Xu) 12/2015
Functionalization & Optical Characterization of Colloidal Gold Nanoparticles on Planar Substrates Using the Cytoviva Hyperspectral Imaging microscope to evaluate gold nanoparticle dispersion on planar substrates Charmaine Chia, (Michelle Rincon), (Robert Chen) 12/2015
NMOS-Depletion Mode Process for EE410 EE410 redesign- NMOS depletion mode process flow for quick, robust devices Max Shulaker, Rebecca Park, (Usha Raghuram) 12/2015
Low-T, High-K, Dielectrics for Transparent and Flexible 2-Dimensional Electronics Creating Low-T, High-K devices including ALD directly onto flexible, transparent substrate and subsequent processing Kirby Smithe, (Michelle Rincon) 12/2015
3D Printing Using theSolidscape Studio for Rapid Prototyping of Optics and Microfluidic Devices Using new 3D printer to create molds for PDMS processing for microfluidics and optical devices. Stephen Hanman, Saara Khan, (Robert Chen) 12/2015
Variable Trench Optimization for DRIE of SOI in PT-DSE DRIE, SOI, PT-DSE Ian Flader, Yunhan Chen, (Usha Raghuram) 06/2015
Vapor Phase Doping of Boron in Silicon Alternative to implantation for boron doping of silicon using Epi Kai Zang, (Maurice Stevens), (Ted Kamins) 06/2015
FirstNano CNT Growth Furnace Recipe Development in SNF Process development for aligned, horizontal nanotube growth with methane on quartz substrate in the new CNT furnace Greg Pitner, Ryan Swoboda, (Michelle Rincon), (Robert Chen) 06/2015
MOCVD Growth Calibration for GaN LED on Silicon III-V, MOCVD InGaN, GaN and AlGaN on silicon doping Yusi Chen, Jieyang Jia, (Xiaoxing Xu) 06/2015
Vertical Semiconductor Blades MOCVD, ASML, double exposure, JEOL, InSb, Ox III-V Martin Winterkorn, Anup Dadlani, Karen Kim, (J Provine) 06/2015
Ge/SiGe Surface Passivation by ALD Ge/SiGe photo luminescence modification by ozone induced oxidation, annealing, and capping in ALD system Ching-Ying Lu, Muyu Xue, (Michelle Rincon), (J Provine) 06/2015
Black Magic Pro 4" Graphene Furnace Development and Characterization Graphene process development on copper substrates Ning Wang, Christopher Neuman, (Michelle Rincon), (Robert Chen), (Ted Berg) 06/2015
Low Vapor Pressure Precursor Delivery: A Case Study in MLD of Polyimide Process development for polyimide MLD, Savannah thermal management understanding Tim English, (J Provine), (Michelle Rincon) 06/2015
Deep Oxide Etch in PT-Ox to Replace Dicing and Polishing Process Etch process to replace dicing and polishing for photonic waveguide testing Alex Piggott, (Usha Raghuram) 06/2015
Development of Thin Film Release of GaN using AlN and AlGaN Buffer Layers for MEMS Applications MEMS, MOCVD, GaN, AlN, AlGaN, development of wet etch chemistry for AlGaN Caitlin Chapin, Karen Dowling, (Xiaoxing Xu) 06/2015
Development of Fluorine Plasma for AlGa/GaN Device Isolation Heterostructure based device isolation Caitlin Chapin, Minmin Hou, (Usha Raghuram), (Prof Senesky) 12/2014
Smooth Sidewall Etching in PT-DSE High aspect ratio etches with smooth sidewalls, 'Bosch' process recipes Andrew Ceballos, Stephen Hamann, (Usha Raghuram) 12/2014
ALD Precursor Delivery & Debugging: A Case Study in Polymer Development PMDA, ODA Felix Alfonso, Tim English, (Michelle Rincon), (J Provine) 12/2014
Surface Micromachining Method for Releasing a Range of Micron-Scale Membranes MEMS, release strategies Martin Winterkorn, Anup Dadlani, Yongmin Kim, (J Provine), (Michelle Rincon) 12/2014
Atomic Layer Deposition of Zinc Oxide ALD ZnO deposition Pranav Ramesh, Jiheng Zhao, (J Provine), (Michelle Rincon) 12/2014
PECVD SiNx Conformal Stressor Films SiNx stress and conformity, added strain from backside and sidewall deposition Ching-Ying Lu, Matthew Morea, (Usha Raghuram), (Jim McVittie), (Prof Jim Harris) 12/2014
Developing a Standard Recipe for Thermal ALD Tantalum Pentoxide film Ta2O5 ALD thermal recipe development Ki Wook Jung, (Michelle Rincon), (J Provine) 06/2014
ALD Dielectric Electrical Characterization High-k dielectrics, Al2O3, HfO, MOSCAP Max Shulaker, (Michelle Rincon), (J Provine) 06/2014
Developing Etching Process for Nanostructures on InGaP and AlInP Using OX-35 Etcher InGaP and AlInP etching Jieyang Jia, Li Zhao, (Mary Tang), (Jim McVittie) 06/2014
Molecular Vapor Deposition and Patterning of Organosilane Self-Assembled Monolayers for Directed Growth of Neuron Cells Hydrophilic (ODS) and Hydrophobic (DETA) SAMS deposition on Pyrex and Si, uniformity and film quality Felix Alfonso, Hsin-Ya Lou, (Michelle Rincon), (J Provine) 06/2014
SiNx PECVD and Nanostructure Etching Recipe Development PECVD SiNx stress, Nanosphere etching Yusi Chen and Muyu Xue 06/2014
Procedure to Deposit Gold Only on the Sidewalls of Rectangular Nanostructures and Its Application Sidewall metal deposition on nanostructures Zain Zaidi 06/2014
TiN Characterization Faster, better ALD TiN films Kye Okabe, Max Shulaker, (Michelle Rincon), (J Provine) 06/2014
Bulk Silicon Carbide etching in PT-MTL SiC etching in PT-MTL Karen Dowling and Ashwin Shankar 06/2014
Characterization of Fiji ALD Film Quality and Conformality in High Aspect Ratio/Deep Etched Structures Conformality of ALD films; Al2O3, TiN and Pt Insun Park, Jooyong Sim, Young Ik Sohn, (J Provine) 12/2011
Develop Calibration Process for Innotec Tilt Angle Jig Calibration of Tilt Angle Jig for Innotec Shane Crippen, (J Provine) 12/2011
Corrosive Resistant ALD Coatings Corrosive resistant ALD films; Al2O3, HfO2 and ZrO2 Joseph Doll, Alexandre Haemmerli, (J Provine) 06/2011
Sputtering Deposition of Metal and Dielectric Films Low temp deposition of Ti, SiO2 and W Vijay Parameshwaran, (Ed Myers) 06/2011
AGILE: Axially Graded Index Lens Fabrication Graded Index lens using polymer layers of Norland Optical Adhesive with varying Refractive Indices Nina Vaidya, (Tom Carver) 06/2011
Characterization of metal-nitride films deposited by the Savannah ALD system ALD metal-nitride films Adair Gerke, Suhas Kumar, (J Provine), (Krishna Saraswat) 06/2011
Mix and Match: E-beam and Optical Lithography for Optical Gratings and Waveguide Mixing Optical and E-beam Lithography Chia-Ming Chang 06/2011
High-k/SiO2 interface Charge Characterization for ALD tools Charge density study for HfO2 and Al2o3 Hong-Yu Chen, Luckshitha, (J Provine) 03/2011
A Low Temperature, Low Stress SiGe Process Low Temp, Low Stress SiGe Process Scott Lee and Chen Chen 03/2011
Characterization of EV Spraycoater; Conformal Coating in deep Trenches Spray coating of resist in 30-50um deep Si trenchs Ehsan Sadeghipour, (Mahnaz Mansourpour), (Jason Parker) 03/2011
Development of Four Nitride Films; TiN, Hf3N4, WN, and AlNDevelopment of Four Nitride Films; TiN, Hf3N4, WN, and AlN ALD nitride films Shingo Yoneoka, Yi-Hsuan, Scott Lee, Chu-En Chang, (J Provine) 12/2010
Deep Trench Spray Coating Spray coating of resist in 350um deep Si trench Karthik Vijayraghavan 12/2010
ALD Nanolaminates ALD HfO2 and Al2O3 films Yi Wu, Shimeng Yu, Shuang Li, (J Provine) 12/2010
High Aspect Ratio Si Etching in STS2 HAR Etch for STS2 Jae-Woong Jeong, (Jim McVitte) 12/2010
STSetch2 Profile Characterization- Undercut Investigation for Silicon Trench Etching in STSetch2 STSetch2 Profile Characterization Lele Wang, Dong Liang, Yu-Shuen Wang, (Jim McVittie) 12/2010
Last modified: 28 Apr 2022